CentOS 7虚拟机下安装VCS开发环境
一、前言
VCS全称为 verilog compiled simulator ,是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。 CentOS 7虚拟机安装参考:VMware下CentOS 7虚拟机的安装 本文参考:https://blog.csdn.net/weixin_40377195/article/details/109788338
二、安装包下载
百度云链接地址:https://pan.baidu.com/s/1B8HzXl5C5mdGEos4_CIOSg 提取码:7qaj
二、设置共享文件
在虚拟机关闭的情况下,点击【编辑虚拟机设置】,添加一个共享文件夹,将下载好的安装包解压后放到该目录下 启动虚拟机,点击【虚拟机】->【重新安装VMware Tools】 然后打开桌面的【VMware Tools】将其提取到当前home目录下 然后进入该目录下,运行【vmware-install.pl】文件
三、复制文件
在/home/william目录下新建tools文件夹,将共享文件夹下的文件复制到该目录下
四、安装installer
先安装依赖库
sudo yum install libXScrnSaver-1.2.2-6.1.el7
然后进入synopsysinstaller_v5.0目录下,运行./SynopsysInstaller_v5.0.run文件
sudo ./SynopsysInstaller_v5.0.run
运行时会提示输入生成路径,默认回车在当下目录就行 然后运行刚刚生成的setup.sh文件,可以看到安装界面出现了
五、安装软件
进入/home目录下,新建synopsys的文件夹,修改该文件夹权限;
cd /home
sudo mkdir synopsys
sudo chmod 777 synopsys
操作如下所示
1、scl_v2018.06
回到synopsysinstaller_v5.0目录下,运行“./setup.sh”开始安装,点击start, 默认点击【Next】即可 选择安装包的路径,点击选择scl_v2018.06, 然后选择安装路径,点击选择我们之前新建的synopsys目录下 然后全部勾选 安装确认,点击【Next】 然后点击接受并安装, 等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【scl_v2018.06】就安装完了
2、vcs_mx_vO-2018.09-SP2
回到synopsysinstaller_v5.0目录下,运行“./setup.sh”开始安装,点击start,然后默认点击【Next】即可 安装包的路径点击选择vcs_mx_vO-2018.09-SP2, 安装路径选择synopsys目录
全部勾选,安装确认,点击【Next】,点击接受并安装, 等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【vcs_mx_vO-2018.09-SP2】就安装完了
3、vcs_vO-2018.09-SP2
回到synopsysinstaller_v5.0目录下,运行“./setup.sh”开始安装,点击start,然后默认点击【Next】即可 安装包的路径点击选择vcs_vO-2018.09-SP2,
安装路径选择synopsys目录
全部勾选,安装确认,点击【Next】,点击接受并安装,
等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【vcs_vO-2018.09-SP2】就安装完了
4、verdi-2018.9
回到synopsysinstaller_v5.0目录下,运行“./setup.sh”开始安装,点击start,然后默认点击【Next】即可 安装包的路径点击选择verdi-2018.9, 安装路径选择synopsys目录
全部勾选,安装确认,点击【Next】,点击接受并安装, 等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【verdi-2018.9】就安装完了
六、环境设置
1、bash
打开/home/william下的.bashrc环境文件,加入以下内容,注意对应安装路径和倒数第二行主机名是否正确。
export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_MX_HOME=/home/synopsys/vcs-mx/O-2018.09-SP2
export LD_LIBRARY_PATH=/home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/synopsys/scl/2018.06
#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve"
#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"
#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"
#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux
#LICENCE
export LM_LICENSE_FILE=27000@localhost.localdomain
alias lmg_synopsys="lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat"
保存退出后使用source使其生效
2、tcsh
打开/home/william下的.tcshrc环境文件,加入以下内容,注意对应安装路径和倒数第二行主机名是否正确。
## Synopsys tools
setenv DVE_HOME /home/synopsys/vcs/O-2018.09-SP2
setenv VCS_HOME /home/synopsys/vcs/O-2018.09-SP2
setenv VCS_MX_HOME /home/synopsys/vcs-mx/O-2018.09-SP2
setenv LD_LIBRARY_PATH /home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
setenv VERDI_HOME /home/synopsys/verdi/Verdi_O-2018.09-SP2
setenv SCL_HOME /home/synopsys/scl/2018.06
#dve
[ -d $VCS_HOME ] && set path = ( $VCS_HOME/gui/dve/bin $path )
alias dve "dve"
#VCS
[ -d $VCS_HOME ] && set path = ( $VCS_HOME/bin $path )
alias vcs "vcs"
#VERDI
[ -d $VERDI_HOME ] && set path = ( $VERDI_HOME/bin $path )
alias verdi "verdi"
#scl
[ -d $SCL_HOME ] && set path = ( $SCL_HOME/linux64/bin $path )
setenv VCS_ARCH_OVERRIDE linux
#LICENCE
setenv LM_LICENSE_FILE 27000@centos
alias lmg_synopsys "lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat"
保存退出后使用source使其生效
七、运行软件
在命令行下输入hostname和ifconfig目录获取主机名和MAC地址 在windows下运行scl_keygen_2030.zip下的scl_keygen.exe,最好关闭杀毒软件,在【HOST ID Daemon】和【HOST ID Feature】填写MAC地址,要将:去掉,【HOST Name】填写主机名,【Port】选择27000。然后点击【Generate】即可生成Synopsys.dat文件 在打开当前目录下的【Synopsys.dat】文件,需要在第二行添加/home/synopsys/scl/2018.06/linux64/bin/snpslmd路径 然后将该文件复制到scl/2018.06/admin/license/目录下 执行如下命令设置开放端口:
firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload
运行如下所示 接着安装一下依赖库
sudo yum install redhat-lsb.i686
sudo yum install libpng12 -y
然后再输入lmg_synopsys进行激活,
lmg_synopsys
接下来直接输入verdi即可打开verdi软件
八、HelloWorld
新建hello.sv文件,输入如下内容
module tb;
initial begin
$display("Hello World! I am William");
end
endmodule
然后使用如下命令编译,因为我们使用的64位的vcs,所以要添加-full64参数,另外-sverilog参数表示支持SystemVerilog语法
vcs -full64 -sverilog hello.sv
编译如下所示 然后执行当前目录的simv即可开始仿真
./simv
可以看到要显示的字符已经打印出来了
九、优化启动
我们每次开机都需要手动输入“lmg_synopsys”命令才能使用 vcs工具,所以我们现在要有开机自动运行的方法,首先进入/etc/rc.d/目录,打开rc.local文件,加入如下内容
/home/synopsys/scl/2018.06/linux64/bin/lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat
如下所示 然后为其添加可执行权限
chmod +x rc.local
运行如下所示 这样就不用每次开机都要输入“lmg_synopsys”命令了